omniture

Gululu Q智能語音水杯天貓發(fā)售 高端兒童物聯黑科技進軍大眾市場

2019-10-21 10:00 7869
今日,首款內置天貓精靈智能語音助手的Gululu Q智能語音水杯,正式登錄天貓希諾旗艦店。

上海2019年10月21日 /美通社/ -- 今日,首款內置天貓精靈智能語音助手的Gululu Q智能語音水杯,正式登錄天貓希諾旗艦店。此次Gululu聯手中國高端杯壺品牌希諾,欲將Gululu Q全新款智能互動水杯打造成“健康習慣管家+AI學習伙伴”的兒童AIoT(人工智能物聯網)智能載體,同時憑借親民的價格正式進軍大眾市場。Gululu Q因天貓精靈智能語音助手兒童版海量精選內容、獨特的AI算法飲水監(jiān)測技術,以及海量趣味語音飲水提醒等產品亮點,在第19屆上海CBME孕嬰童展首秀伊始,便成為眾多業(yè)內人士及行業(yè)買手矚目的焦點。同時,正在熱映的東方夢工廠巨制動畫電影《雪人奇緣》,與Gululu達成深度IP合作,為廣大觀眾帶來不可錯過的“智能硬件+IP定制”新選擇。

Gululu Q智能語音水杯
Gululu Q智能語音水杯

針對孩子不愛喝水、喝水少的痛點,Gululu通過獨特的寵物養(yǎng)成概念和有趣的飲水激勵機制,讓孩子在游戲化和IP化的飲水體驗中養(yǎng)成主動飲水的健康好習慣。目前,Gululu已發(fā)布5款不同定位的水杯產品,通過搭建完整IP世界觀、運營原創(chuàng)IP,水杯中可養(yǎng)成寵物已擴展至6個,包括新加入的《雪人奇緣》特別寵物 -- 小雪人大毛。同時,Gululu星系故事線不斷延展,主題動畫和科普故事每月持續(xù)更新,綜合了原創(chuàng)內容和第三方合作內容的訂閱頻道也在不斷豐富。此外,Gululu與平安好醫(yī)生等多位頭部市場玩家的定制化合作,成功將高端兒童智能設備帶入大眾消費市場。經過兩年多的快速發(fā)展,Gululu已收獲全球80多個國家用戶的喜愛和青睞。

Gululu互動水杯水精靈IP
Gululu互動水杯水精靈IP

硬件方面,Gululu Q智能語音水杯采用1.54英寸觸摸彩屏,讓孩子與寵物之間的互動操作更方便、更自然;同時采用醫(yī)用級316不銹鋼雙層保溫內膽,達到保溫保冷的效果。軟件方面,Gululu Q開創(chuàng)性接入天貓精靈智能語音助手,將經過篩選的優(yōu)質兒童內容送到孩子身邊,讓孩子邊喝水邊成長;新增智能飲水提醒功能,讓Gululu成為孩子健康飲水的好助手;AI飲水監(jiān)測技術,精準測量并追蹤飲水數據,聯網狀態(tài)下可實現與App數據的即時同步。不同于以往互動水杯系列產品,Gululu Q大眾化的定價策略,印證了Gululu想要解決每一位孩子飲水問題的初衷,為搭建兒童專屬智能健康平臺的長期目標做積淀。

Gululu Q X雪人奇緣聯名款及周邊
Gululu Q X雪人奇緣聯名款及周邊

定檔于2019年10月黃金周上映的東方夢工廠首部原創(chuàng)動畫電影《雪人奇緣》,與Gululu聯手推出“Gululu X 雪人奇緣”互動水杯,將主角大毛化身寵物精靈進駐Gululu世界,大毛會在水杯中和孩子們開啟新的冒險之旅。此外,定制款大毛書包也將與聯名款水杯同步在天貓Gululu母嬰旗艦店上線。同時,Gululu與愛芽科技兒童的聯名款智能牙刷、與Cakalyen的聯名款兒童平衡車、與深海特工隊的聯名款智能互動水杯,也已經與消費者見面。未來,Gululu將挖掘更多“兒童智能硬件+IP定制”的可能性,并探索其他創(chuàng)新兒童科技產品品類的可能性。

想要了解Gululu Q及其他Gululu互動水杯系列產品,請登錄天貓“Gululu母嬰旗艦店”https://gululumy.tmall.com。還可瀏覽Gululu智能互動水杯官方網站http://www.gululu.com,亦或關注“Gululu水精靈”微信公眾號,了解更多關于Gululu的相關資訊。

消息來源:Gululu互動水杯
China-PRNewsire-300-300.png
全球TMT
微信公眾號“全球TMT”發(fā)布全球互聯網、科技、媒體、通訊企業(yè)的經營動態(tài)、財報信息、企業(yè)并購消息。掃描二維碼,立即訂閱!
collection